Latest news on EUVL: Highlights from 2019 EUVL Workshop

Vivek Bakshi, EUV Litho, Inc.

July 23, 2019

Highlights

The 2019 EUVL workshop was held mid-June at CXRO in Berkeley, CA. We learned about progress toward addressing the key challenges for EUVL in current and future nodes. In this blog, I will give the highlights from the meeting, and all the presentations can be reviewed for additional details in the proceedings at www.euvlitho.com.

In her keynote, Britt Turcot of Intel summarized EUVL status and challenges. The most important item I noted was that the actinic patterned mask inspection system (APMI) from a supplier is now operational and meeting Intel’s requirements for use in the fab. Intel also showed examples of mask defects observed using this tool. Congratulations to all in making this progress happen, as the lack of APMI had been the leading challenge for the 7 nm node! The only remaining challenge for the 7 nm node is the commercial availability of mask pellicles, which I expect to be overcome, as new technology is here already. Another bit of good news was the confirmation by data from Intel’s fab of 250 W source power and increased scanner availability.

Looking forward to high NA tools, the areas of focus are materials and masks. Recent data from Intel’s keynote shows that metal cluster resists and chain scission resists show better CDU and tolerance for stochastics than standard CAR resists. Anna Lio of Intel pointed out that new resist chemistries are needed to support EUVL in the long term. She believes that future EUV resists need to be “fast and light” to keep us on track. She also detailed the fundamental data needed to help us better understand the performance of EUV resists, which in turn will allow us to develop suitable resists to continue patterning smaller and smaller features while dealing with dose, LER and stochastics.

In the area of mask, we had encouraging new data from Applied Materials and Veeco. Sandeep Kohli of Veeco showed data that their current ion-beam deposition (IBD) platforms have been qualified for the 5 nm node. They will have a new IBD platform for the 3 nm node and beyond. They also have solutions for deposition and etch of materials for high-k mask absorber layers and phase shift masks.  Vibhu Jindal of Applied Materials said several materials exist that can give <2% reflectivity for <45 nm thickness to meet the requirements for high-k absorber materials for masks. These materials show no damage with 50x cleans, as well as demonstrating good etch selectivity to Ru. These materials also have a better NILS and contrast than TaN. Applied has also made progress in developing phase shift masks, concepts of which has been around, but we were not sure if they could be effectively manufactured. So it looks like we have a clear path for EUV mask development up to the 3 nm node, with suppliers getting ready to support masks for high NA EUVL.

In the area of sources, Gigaphoton has a new target of 330 W and demonstrated 27 kW CO2 lasers. Energetiq has improved the stability of their sources via addition of helium to xenon.

The EUVL community’s response to Blue-X (extension of EUVL via wavelength reduction) has been very positive. There were two sessions on this topic in the 2018 Source Workshop and the 2019 EUVL workshop. With reflectivity of ML at 6.x nm >70%, combined with thulium lasers which can be scaled up to hundreds of kW, there is already a potential for extending EUVL. Thulium lasers at 2.2 microns have several advantages to be reviewed as a replacement for CO2 laser, even at 13.5 nm. Although CE is similar to that of CO2 lasers (3.3 vs 4.4), Sn LPP with thulium lasers gives more EUV photons per pulse in the modeling, while having a smaller footprint. Further modeling work is needed on this topic.

Additional Highlights

  • Irfan Siddiqui, UC Berkeley (Keynote) gave an overview of quantum computing, explained how you make a qbit and talked about the manufacturing challenges of making qbits. He also laid out key questions for the next five years for quantum computers, with the most important being: How do we stabilize quantum coherence in an open many-body quantum system? What does physics look like at the edge of the complexity frontier?
  • Takeo Watanabe, University of Hyogo and EIDEC (Keynote) gave an overview of EUV research in Japan and his university. He also provided examples from resonant X-ray scattering methods that they are using to study EUV resists, results from a study of ML in an H2 environment, and pros and cons of Blue-X (extension of EUVL via reduction of wavelength).
  • Steven Welch, Applied Materials (Keynote) gave examples of how CMOS scaling will look at 3 nm, 2 nm and beyond. In addition to new geometry and designs, they will be enabled by selective deposition and selective removal. He also outlined scaling opportunities in the back-end via new materials (replacing Cu with Co, Ru or Mo) and interface management. New memories will be enabled by new designs, 3D architecture and new materials. Analog memories are possible in the future for a machine learning accelerator. He also sees future analog computing, which will require further advances in process variability.
  • Hakaru Mizoguchi (Gigaphoton) noted that high conversion efficiency (CE) of 4.5% is realized with pre-pulse technology and >6% can be achieved. In his EUV source, high speed (>90m/s) and small (20 micron) droplets are now realized. New source power target is ≧330W average, with 0.05%/gigapulses and >90% availability. CO2 laser power has been upgraded to >27kW, which is needed for power scaling.
  • Fei Liu (ASML) proposed using in-band EUV source spectrum to control the scanner.
  • Toru Fujimori (Energetiq) noted that the cause of the instability in their Xe DPP source is due to a “unipolar arc,” which can be characterized via position and power. Helium suppresses this arc without power loss in the source from absorption of EUV photons, resulting in additional source stability.
  • Fariba Abhari (Adlyte Corporation) noted that Adlyte continues the development of the Sn LPP for HVM metrology, and presented their roadmap.
  • Kevin Lucas (Synopsys) gave examples of simulations for reducing stochastic failure and increasing the process window.
  • Darren Dunn (IBM) showed that process variation (PV) band and stochastic PV band methods are inherently blind to interactions of OPC with mask process effects.
  • Alex Robinson (Irresistible Materials) showed that Multi-Trigger chemistry enhances chemical gradient without quenchers. Adding non-metallic a high-Z element to crosslinker improves resolution and LWR and enables higher aspect ratio. He is investigating whether chemistry or material causes these changes.
  • Sascha Migura (Carl Zeiss) mentioned that the design of optics for the high-NA EUVL scanner (EXE: 5000 series) called Starlith® 5000 has been finalized, and mirror production has started. Fast infrastructure and equipment buildup at ZEISS is in progress for this purpose.
  • Mark van de Kerkhof (ASML) noted that the ultimate defectivity requirement for the frontside of a reticle is 1 particle (<32 nm) per month, as impact of yield is greatest from any defects on mask frontside. ASML is improving the system cleanliness via better flushing, protective cross- flows and tuning the EUV-induced plasma (gas pressure, gas composition, dynamics).
  • Eric Gullickson (CXRO) gave a historical overview of the optical metrology, optics development and of his website, which has fundamental data for complex refractive index (n and k) calculations.
  • Chris Anderson (CXRO) overviewed the 0.5 NA EUV microexposure tool at CXRO, which is now operating and serving its customers.
  • Kenneth C. Johnson (KJ Innovation) presented a design for a maskless EUV scanner for a 0.5 NA system, which could be also used for EUVL extension and EUVL. He listed various pros and cons for such system. Main advantage is smaller size and cost at the expense of throughput.
  • Yasin Ekinci (PSI) presented the design of COSAMI, a synchrotron based source for metrology.
  • Hiroshi Kawata (KEK) is currently working on mid-IR compact FEL for 1- 100 W. This learning will benefit development of EUV – FEL (aim of 10 kW).
  • Delmotte (Universite Paris-Saclay) showed his recent results for multilayer (ML) optics in the water window region. The peak ML reflectivity is 23% at 3.1 nm – which is the highest value published so far. To increase the peak reflectivity (31% from modeling), he needs to decrease the period drift < 0.01 nm per period, increase the number of periods, and optimize CrN, B4C and Sc thicknesses.
  • Regina Soufli (Lawrence Livermore National Laboratory) pointed out that the refractive index is not accurately known in the EUV/X-ray region. She has developed optimized methodologies to measure the refractive indexes of Cr, Pt and W, with improved accuracy near M- N- and O- edges. Such work will provide us with improved fundamental data for modeling new mask materials, hence is very important for EUVL development.
  • Meisels (Institute of Physics, Austria) showed that depth graded mirrors can be used to improve broad reflectivity at 13.5 nm, 6.5 nm and 3.12 nm.
  • C. Bott-Suzuki (University of California San Diego) presented first-ever CE measurements for Xe in the 1 – 6 nm range. Absolute CE at 6 nm (~200eV) is about 1%. For short wavelengths (1.4 nm, 870eV), the best observed CE is ~0.08%.